language-vhdl

VHDL language support in Atom

Razer6

51,551

27

Bug Reports

0.7.2

MIT

GitHub

VHDL language support in Atom

Adds syntax highlighting and snippets to VHDL files in Atom.

Originally converted from the vhdl.tmbundle.

Contributions are greatly appreciated. Please fork this repository and open a pull request to add snippets, make grammar tweaks, etc.

Version